Proiectarea și implementarea FPGA a comenzilor PWM multinivel

Proiect
8/10 (1 vot)
Domeniu: Electrotehnică
Conține 1 fișier: pdf
Pagini : 21 în total
Cuvinte : 2921
Mărime: 602.21KB (arhivat)
Publicat de: Alexandru J.
Puncte necesare: 8
Profesor îndrumător / Prezentat Profesorului: Prof. Dr. Ing. Dan Floricau
Facultatea de Inginerie Electrica
Universitatea Politehnica Bucuresti, Bucuresti
Materie: Modelarea și comanda convertoarelor statice

Extras din proiect

1. Scopul proiectului

În cadrul proiectului se studiază si se implementează comanda numerică PWM (Pulse Width Modulation) a unui invertor multinivel. Pentru implementare se utilizează programul Quartus și limbajul de programare VHDL (Very High Speed Integrated Circuit Hardware Description Language).

Validarea comenzilor PWM se va face cu ajutorul unei cartele FPGA (Field Programmable Gate Array). Convertorul utilizat pentru teste experimentale este un invertor cu 3 niveluri de tensiune de tipul ASNPC (Active Stacked Neutral Point Clamped) - Fig.1.

Fig.1. Convertorul 3L-ASNPC: a) machetă experimentală; b) cartela de comandă FPGA.

2. Etapele proiectului

a) Modelarea în PSIM a celulei de comutație 2L.

b) Implementarea în Quartus a comenzii numerice PWM pentru celula de comutație 2L.

b1) Implementare divizor de frecvență utilizând programul Quartus.

b2) Generare semnal triunghiular de frecvență si amplitudine prestabilite.

b3) Implementare comparator si comandă.

b4) Rezultatele experimentale ale celulei de comutație 2L.

c) Modelarea în PSIM a convertorului multinivel 3L-ANPC.

d) Implementarea în Quartus a comenzii numerice PWM-DF pentru convertorul multinivel 3L-ANPC.

e) Rezultatele experimentale ale convertorului 3L-ANPC.

3. Mod de realizare

a) Modelarea în PSIM a celulei de comutație 2L.

În simularea structurii elementare 2L se utilizează o strategie PWM sinusoidală. Scopul acestei simulări constă în fixarea elementelor specifice comenzii pentru a fi urmărite/implementate cu usurință în cadrul programului Quartus.

Partea experimentală disponibilă în cadrul proiectului a fost realizată în jurul unui concept nou de conversie statică multinivel 3L-ASNPC (Active Stacked NPC). Acest convertor conține 8 întreruptoare în trei segmente, bidirecționale în curent si unidirecționale în tensiune (Fig.2.). Dintre acestea se utilizează doar întreruptoarele S2 si S3c pentru a forma celula de comutație 2L. Pentru a asigura alimentarea celulei 2L de la sursa de tensiune continuă, întreruptoarele S1 si S4c vor fi comandate la închidere (on). Celelalte întreruptoare S1c, S4, S2c si S3 vor fi comandate la blocare (off). Așadar, pentru elaborarea comenzii structurii 2L se vor compara 2 semnale (Fig.4.): unul de referință (Sr) si unul purtător (up). Semnalul purtător triunghiular are frecvența fsw. Cele 2 întreruptoare S1 si S4c se comandă complementar.

Preview document

Proiectarea și implementarea FPGA a comenzilor PWM multinivel - Pagina 1
Proiectarea și implementarea FPGA a comenzilor PWM multinivel - Pagina 2
Proiectarea și implementarea FPGA a comenzilor PWM multinivel - Pagina 3
Proiectarea și implementarea FPGA a comenzilor PWM multinivel - Pagina 4
Proiectarea și implementarea FPGA a comenzilor PWM multinivel - Pagina 5
Proiectarea și implementarea FPGA a comenzilor PWM multinivel - Pagina 6
Proiectarea și implementarea FPGA a comenzilor PWM multinivel - Pagina 7
Proiectarea și implementarea FPGA a comenzilor PWM multinivel - Pagina 8
Proiectarea și implementarea FPGA a comenzilor PWM multinivel - Pagina 9
Proiectarea și implementarea FPGA a comenzilor PWM multinivel - Pagina 10
Proiectarea și implementarea FPGA a comenzilor PWM multinivel - Pagina 11
Proiectarea și implementarea FPGA a comenzilor PWM multinivel - Pagina 12
Proiectarea și implementarea FPGA a comenzilor PWM multinivel - Pagina 13
Proiectarea și implementarea FPGA a comenzilor PWM multinivel - Pagina 14
Proiectarea și implementarea FPGA a comenzilor PWM multinivel - Pagina 15
Proiectarea și implementarea FPGA a comenzilor PWM multinivel - Pagina 16
Proiectarea și implementarea FPGA a comenzilor PWM multinivel - Pagina 17
Proiectarea și implementarea FPGA a comenzilor PWM multinivel - Pagina 18
Proiectarea și implementarea FPGA a comenzilor PWM multinivel - Pagina 19
Proiectarea și implementarea FPGA a comenzilor PWM multinivel - Pagina 20
Proiectarea și implementarea FPGA a comenzilor PWM multinivel - Pagina 21

Conținut arhivă zip

  • Proiectarea si implementarea FPGA a comenzilor PWM multinivel.pdf

Alții au mai descărcat și

Reglarea Automată a Nivelului

Elemente Arcuitoare Generalitati: Folosirea elementelor arcuitoare în constructia produselor electrotehnice este legata de principiul de...

Automat de Sortat Mere-ASDN

Proiect A.S.D.N. Tema: Realizarea unui automat de sortat mere dupa diametru (diametre între 5,5 si 6 cm si între 7,5 si 8 cm) precum si dupa...

Sistemul de iluminat de siguranță

ARGUMENT Iluminat normal se refera la instalatiile utilizate zilnic,in conditii normale. Iluminatul de siguranta trebuie sa asigure evacuarea...

Voltmetre verificatoare și înregistratoare

1. Domeniu de aplicare Norma se refera la verificarea/etalonarea voltmetrelor indicatoare si inregistratoare,destinate masurarilor de tensiuni...

Ai nevoie de altceva?